Я хочу повторно использовать какой-то блок кода, и чтобы сделать его более читабельным, я попытался поместить его в блок. Блок кода используется для сохранения некоторых данных в некоторые буферы. Это также включает в себя заявление о случае. Этот блок используется в нескольких состояниях конечного автомата.
someBlock: block
begin
destinationAddr <= destinationAddr_i;
sourceAddr <= sourceAddr_i
case type is
when typeA =>
someData <= dataA;
dataLength <= 1;
when typeB =>
someData <= dataB;
dataLength <= 2;
when typeC =>
someData <= dataC;
dataLength <= 3;
end case;
end block;
Код — это всего лишь пример того, что я пытаюсь сделать. Я хочу, чтобы этот код был вставлен в то место, где я вызываю someBlock. Если я сделаю этот блок, Сигаси и Вивадо жалуются на заявление дела. (несоответствие ввода «случай», ожидание «конец»). Я разместил объявление блока после начала архитектуры, но не внутри процесса.
Это неправильный способ использования блока? Есть ли другой способ сделать «функцию», которая может манипулировать всеми сигналами в архитектуре?
редактировать: ок разобрался. Я пытался использовать процедуру раньше, я поместил ее в архитектуру, но не в процесс. Согласно vivado, сигналы были недоступны, потому что нельзя было быть уверенным, что не будет нескольких драйверов (из разных процессов). Если я помещаю процедуру в процесс, она работает.
Спасибо всем за помощь :)
Не используйте блоки. Никто не использует блоки.
Сначала я пытался использовать процедуры, но потом мне нужно было передать все затронутые сигналы в качестве аргументов и вернуть их, верно? В моем случае есть около 10 затронутых сигналов, и я не думаю, что это хороший способ сделать это. Или есть способ получить эти сигналы в области видимости?
Во-первых, type является зарезервированным словом и не может использоваться для имени объекта. Вы также не можете использовать оператор case, основанный на проверке типа объекта.
Это жалуется, потому что внутри оператора Block не является последовательной областью кода. Операторы case должны использоваться в последовательной области, такой как процесс, функция или процедура. Кроме того, блоки нельзя использовать повторно, они нужны просто для добавления локальной области в целях определения области действия.
Чтобы сделать его пригодным для повторного использования, вы, вероятно, захотите вместо этого использовать процедуру. Это будет объявлено в декларативной области, т.е. перед «началом». Вот пример:
procedure mux(constant s : in std_logic;
constant bits : in std_logic_vector(1 downto 0);
signal o : out std_logic
) is
begin
case s is
when '1' => o <= bits(1);
when '0' => o <= bits(0);
when others => o <= 'X'; -- for simulation only
end case;
end procedure;
begin
-- create a synchronous mux
process(clk)
begin
if rising_edge(clk) then
mux(s0, ipA, opA);
end if;
end process;
-- you can call it outside a process also - this infers an async process, sensitive to s1, ipB, opB
mux(s1, ipB, opB);
end architecture;
Код, который я отправил, не является копией моего кода, а скорее объяснением того, что я пытаюсь сделать. У меня есть около 10 различных сигналов, которые я хочу сохранить в буферах, и я не хочу давать процедуре 10 аргументов и 10 возвращаемых значений (если 10 возвращаемых значений вообще возможны, вероятно, нет). Есть ли способ заставить процедуры использовать все сигналы в архитектуре?
И да и нет. Процедуры имеют видимость всего в области действия, поэтому вы можете читать и воздействовать на сигналы и переменные, не входящие в список параметров (с некоторыми оговорками), если они находятся в области действия, но очевидно, что это делает код менее переносимым и менее пригодным для повторного использования. Если вы пытаетесь сделать монолитную процедуру, вы, вероятно, "делаете что-то не так". Может быть, попробовать разбить его на более мелкие процедуры. Или, может быть, даже отдельные сущности.
То, что вы ищете, называется
procedure
, похоже на функцию, но напрямую влияет на сигналы.