У меня есть сигнал "event_id", в котором только один из битов имеет высокий уровень в любое время. Мне нужно преобразовать этот горячий закодированный сигнал в целочисленное значение индекса.
Сигналы:
signal event_id: std_logic_vector(3 downto 0);
signal event_index: natural;
Я знаю, что могу сделать это с помощью цикла for. Это то, что я сейчас делаю:
for i in 3 downto 0 loop
if (event_id(i) = '1') then
event_index = i;
end if;
end loop;
Есть ли лучший способ сделать это, который не требует цикла for или прохождения каждого бита по отдельности? Я знаю, что мог бы создать функцию с помощью метода for-loop, но мне кажется, что должно быть простое решение, которого мне не хватает.
Импорт математической библиотеки кажется излишним для этого. Это преобразование - это то, что я делал не раз, и цикл for казался неуместным. Я думаю, что пока я все еще буду использовать цикл для ясности. Спасибо.
что вас беспокоит по поводу for
-петли?
С точки зрения генерации аппаратного обеспечения, если вы создаете индекс одноразового кодирования, вы фактически отменяете любую эффективность одноразового кодирования.
@mfro Я просто чувствую, что цикл for - это много строк для простой операции. Я хотел бы сохранить код «чистым».
@OronPort Да, но я не могу изменить источник сигнала, и мне нужно вычислить индекс.
@ Плебала, чем просто поместить цикл for
в функцию в пакете и забыть об этом;)
Не существует «простого» решения для генерации индекса однократного вектора в VHDL.
Для однократного вектора из 4 бит, таким образом, результирующий индекс из 2 бит, цикл, который вы сделали, является хорошим решением, которое читаемо и не требует слишком много ресурсов при реализации. Хотя это не самое маленькое решение, так как оно не позволяет размеру реализации извлечь выгоду из свойства one-hot, поскольку возвращает самый низкий индекс установленного бита. Для коротких однократных векторов не имеет значения, находится ли реализация в FPGA, поскольку она использует квантованные ресурсы LUT.
Для более длинных однократных векторов реализация будет меньше, если используется однократное свойство. Это можно сделать с помощью алгоритма, в котором биты индекса генерируются из однократного вектора и маски. Функция для этого показана ниже.
-- One hot to index calculate; assuming LEN_HOT = 2 ** LEN_IDX
function hot2idx_cal(hot : std_logic_vector) return std_logic_vector is
variable mask_v : std_logic_vector(LEN_HOT - 1 downto 0);
variable res_v : std_logic_vector(LEN_IDX - 1 downto 0);
begin
for i in 0 to LEN_IDX - 1 loop
-- Generate mask
for j in 0 to LEN_HOT - 1 loop
if ((j / (2 ** i)) mod 2) = 0 then
mask_v(j) := '0';
else
mask_v(j) := '1';
end if;
end loop;
-- Apply mask and generate bit in index
if unsigned(hot and mask_v) = 0 then
res_v(i) := '0';
else
res_v(i) := '1';
end if;
end loop;
return res_v;
end function;
Укажите Минимальный, полный и проверяемый пример, чтобы можно было продемонстрировать решение.
Для глобально статического одного значения массива горячих векторов длиной 4 логика представляет собой два вентиля ИЛИ:
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity onehot2indx is
end entity;
architecture foo of onehot2indx is
signal event_id: std_logic_vector(3 downto 0) := "1000";
signal event_index: natural;
begin
event_index <= to_integer (
unsigned'((event_id(3) or event_id(2)) & (event_id(3) or event_id(1)))
);
STIMULUS:
process
begin
for i in 0 to 3 loop
wait for 10 ns;
event_id <= (others => '0');
event_id(i) <= '1';
end loop;
wait for 10 ns;
wait;
end process;
end architecture;
Что производит:
Думайте об аппаратном описании, а не о языковой проблеме.
Для одного горячего вектора длины, которые слишком утомительны, чтобы легко увидеть таблицу истинности входных и выходных данных, могут использоваться в качестве входных данных для программного обеспечения логического сокращения (например, эспрессо), а также операторов цикла или операторов случая, основанных на программном обеспечении синтеза.
Длина 4 тривиальна, и ее легко увидеть без таблицы истинности.
(Однажды вам приходилось платить за лицензии на синтез арифметических функций, поскольку дешевизна заставляла вас задуматься о логике, обратите внимание, как Мортен ссылался на длину результирующего значения индекса, несмотря на то, что он зависел от сопоставления синтеза и сокращения при использовании натурального числа.)
Это то, что я искал, спасибо! Извините за неполный пример. Я не совсем понимаю ваш последний комментарий о том, что Мортен использует натуральный. Возможно, он отредактировал свой код, чтобы использовать slv?
Нет, он был направлен на то, чтобы продукты синтеза представляли собой бинарные представления. Мортон разработал двоичное представление из числа элементов event_index. Это направляет таблицу истинности с 4 входами и 4 уникальными значениями и двумя выходами. Что-то достаточно маленькое, чтобы работать в вашей голове.
Проблема в том, что VHDL не имеет никакого способа узнать, что ваш std_logic_vector является горячим. Если вы хотите избежать написания собственной функции, вы можете использовать log2 из ieee.math_real, например. что-то вроде
event_index <= natural(log2(real(to_integer(unsigned(event_id)))));
. Это ломается, еслиevent_id
не является горячим.